Document Type : Research Article

Authors

1 Computer Engineering Dept., Sharif University of Technology, Tehran, Iran

2 Computer Engineering department, Sharif University of Technology, Tehran, Iran

Abstract

‎Hardware Trojans have emerged as a major concern for integrated circuits in recent years‎. ‎As a result‎, ‎detecting Trojans has become an important issue in critical applications‎, ‎such as finance and health‎. ‎The Trojan detection methods are mainly categorized into functional and side channel based ones‎. ‎To increase the capability of both mentioned detection methods‎, ‎one can increase the transition activity of the circuit‎. ‎This paper proposes a trusted platform for detecting Trojans in FPGA bitstreams‎. ‎The proposed methodology takes advantage of increased Trojan activation‎, ‎caused by transition aware partitioning of the circuit‎. ‎Meanwhile‎, ‎it benefits partial reconfiguration feature of FPGAs to reduce area overhead‎. ‎Experimental studies on the mapped version of s38417 ISCAS89 benchmark show that for the transition probability thresholds of 10^{-4} and 2*10^{-5}‎, ‎our method increases the ratio of the number of transitions (TCTCR) in the Trojan circuit by about 290.93% and 131.48%‎, ‎respectively‎, ‎compared to the unpartitioned circuit‎. ‎Similar experiments on s15850 for the transition probability thresholds of 10^{-4} and 2*10^{-5} show an increase of 290.26% and 203.11% in TCTCR‎, ‎respectively. Furthermore‎, ‎this method improves the functional Trojan detection capability due to a significant increase in the ratio of observing wrong results in primary outputs‎.

Keywords

[1] Rajat Subhra Chakraborty, Seetharam Narasimhan, and Swarup Bhunia. Hardware Trojan: Threats and Emerging Solutions. in Proceedings of the High Level Design Validation and Test Workshop, pages 166–171. IEEE, 2009.
[2] Yu Liu, Ke Huang, and Yiorgos Makris. Hardware Trojan detection through golden chip-free statistical side-channel fingerprinting. in Proceedings of the 51st Annual Design Automation Conference, pages 1–6. ACM, 2014.
[3] Mohammad Tehranipoor, Hassan Salmani, and Xuehui Zhang. Integrated Circuit Authentication: Hardware Trojans and Counterfeit Detection. Springer Science & Business Media, 2013.
[4] Mohammad Tehranipoor and Farinaz Koushanfar. A survey of hardware Trojan taxonomy and detection. Design and Test of Computers, 27(1):pages 10–25. IEEE, 2010.
[5] Josep Balasch, Benedikt Gierlichs, and Ingrid Verbauwhede. Electromagnetic circuit fingerprints for hardware Trojan detection. in Proceedings of the International Symposium on Electromagnetic Compatibility (EMC), pages 246–251. IEEE, 2015.
[6] Yu Liu, Yier Jin, Aria Nosratinia, and Yiorgos Makris. Silicon demonstration of hardware Trojan design and detection in wireless cryptographic ICs. Transactions on Very Large Scale Integration (VLSI) Systems, 25(4): pages 1506–1519. IEEE, 2017.
[7] Hassan Salmani. COTD: Reference-Free Hard-ware Trojan Detection and Recovery Based on Controllability and Observability in Gate-Level Netlist. Transactions on Information Forensics and Security, 12(2): pages 338–350. IEEE, 2017.
[8] Abdullah Nazma Nowroz, Kangqiao Hu, Farinaz Koushanfar, and Sherief Reda. Novel techniques for high-sensitivity hardware Trojan detection using thermal and power maps. Transactions on Computer-Aided Design of Integrated Circuits and Systems, 33(12): pages 1792–1805. IEEE, 2014.
[9] Chongxi Bao, Domenic Forte, and Ankur Srivastava. On application of one-class SVM to reverse engineering-based hardware Trojan detection. in Proceedings of the 15th International Symposium on Quality Electronic Design (ISQED), pages 47– 54. IEEE, 2014.
[10] Shantanu Dutt and Li Li. Trust-Based Design and Check of FPGA Circuits Using Two-Level Randomized ECC Structures. Transactions on Reconfigurable Technology and Systems, 2(1): pages 1-6. ACM, 2009.
[11] Hassan Salmani, Mohammad Tehranipoor, and Jim Plusquellic. New Design Strategy for Improving Hardware Trojan Detection and Reducing Trojan Activation Time. in Hardware-Oriented Security and Trust (HOST), pages 66–73. ACM, 2009.
[12] Atieh Amelian and Shahram Etemadi Borujeni. A side-channel analysis for hardware trojan detection based on path delay measurement. Journal of Circuits, Systems and Computers, 27(09): pages 1–13, 2018.
[13] Dakshi Agrawal, Selcuk Baktir, Deniz Karakoyunlu, Pankaj Rohatgi, and Berk Sunar. Trojan Detection Using IC Fingerprinting. in Proceedings of the Symposium on Security and Privacy, pages 296–310. IEEE, 2007.
[14] Reza M Rad, Xiaoxiao Wang, Mohammad Tehranipoor, and Jim Plusquellic. Power Supply Signal Calibration Techniques for Improving Detection Resolution to Hardware Trojans. in Proceedings of the International Conference on ComputerAided Design, pages 632–639. IEEE/ACM, 2008.
[15] Xiaoxiao Wang, Hassan Salmani, Mohammad Tehranipoor, and Jim Plusquellic. Hardware Trojan Detection and Isolation Using Current Integration and Localized Current Analysis. in Proceedings of the Defect and Fault Tolerance of VLSI Systems (DFTVS), pages 87–95. IEEE, 2008.
[16] Yuanwen Huang, Swarup Bhunia, and Prabhat Mishra. Scalable test generation for trojan detection using side channel analysis. Transactions on Information Forensics and Security, 13(11): pages 2746–2760. IEEE, 2018.
[17] Behnam Khaleghi, Ali Ahari, Hossein Asadi, and Siavash Bayat-Sarmadi. FPGA-Based Protection Scheme against Hardware Trojan Horse Insertion Using Dummy Logic. Embedded Systems Letters, 7(2): pages 46–50. IEEE, 2015.
[18] Xuehui Zhang and Mohammad Tehranipoor. RON: An On-Chip Ring Oscillator Network for Hardware Trojan Detection. in Proceedings of the Conference on Design, Automation and Test in Europe and Exhibition, pages 1–6. IEEE, 2011.
[19] Youngok Pino, Vinayaka Jyothi, and Matthew French. Intra-die process variation aware anomaly detection in FPGAs. in Proceedings of the International Test Conference, pages 1–6. IEEE, 2014.
[20] Seyed Mohammad Hossein Shekarian, Morteza Saheb Zamani, and Shirin Alami. Neutralizing a design-for-hardware-trust technique. in Proceedings of the 17th CSI International Symposium on Computer Architecture & Digital Systems (CADS), pages 73–78. IEEE, 2013.
[21] Xue Mingfu, Hu Aiqun, and Li Guyue. Detecting hardware Trojan through heuristic partition and activity driven test pattern generation. in Proceedings of the Communications Security Conference (CSC), pages 1–6. IET, 2014.
[22] Hossain Fakir Sharif, Mohammed Abdul Kader, and Tomokazu Yoneda. EqSA: A Golden-IC Free Equal Power Self-Authentication for Hardware Trojan Detection. in Proceedings of the International Conference on Innovations in Science, Engineering and Technology (ICISET), pages 86–91. IEEE, 2018.
[23] Steve, Trimberger. Trusted design in FPGAs. in Proceedings of the 44th annual Design Automation Conference, pages 5–8. ACM, 2007.
[24] Amir Moradi, Barenghi Alessandro, Kasper Timo, and Paar Christof . On the vulnerability of FPGA bitstream encryption against power analysis attacks: extracting keys from xilinx Virtex-II FPGAs. in Proceedings of the 18th conference on Computer and communications security, pages 111–124. ACM, 2011.
[25] Rajat Subhra Chakraborty, Saha Indrasish, Palchaudhuri Ayan, and Kumar Naik Gowtham. Hardware Trojan insertion by direct modification of FPGA configuration bitstream. Design & Test, 30(2): pages 45–54. IEEE, 2014.
[26] Navneet Kaur Brar, Dhindsa Anaahat, and Agrawal Sunil. Impact of Dummy Logic Insertion on Xilinx Family for Hardware Trojan Prevention. in Proceedings of the International Conference on Advanced Informatics for Computing Research, pages 64–74. Springer, 2019.
[27] Kan Xiao, Forte Domenic , and Mark Mohammed Tehranipoor. Efficient and secure split manufacturing via obfuscated built-in self-authentication. in Proceedings of the International symposium on hardware oriented security and trust (HOST), pages 14–19. IEEE, 2015.
[28] Kan Xiao, Forte Domenic , and Mark Mohammed Tehranipoor. A novel built-in self-authentication technique to prevent inserting hardware trojans. Transactions on Computer-Aided Design of Integrated Circuits and Systems, 33(12): pages 1778– 1791. IEEE, 2014.
[29] Yuanwen Huang, Swarup Bhunia, and Prabhat Mishra. Scalable test generation for trojan detection using side channel analysis. Transactions on Information Forensics and Security, 13(11): pages 2746–2760. IEEE, 2018.
[30] Rana Elnaggar, Krishnendu Chakrabarty, and Mehdi B Tahoori. Hardware trojan detection using changepoint-based anomaly detection techniques. Transactions on Very Large Scale Integration (VLSI) Systems, 27(12): pages 2706–2719. IEEE, 2019.
[31] Apostolos P Fournaris, Lampros Pyrgas, and Paris Kitsos. An efficient multi-parameter approach for FPGA hardware Trojan detection. Microprocessors and Microsystems, 71: pages 102863– 102878. Elsevier, 2019.
[32] ISCAS89 Benchmarks. http://www.pld.ttu. ee/~maksim/ benchmarks/iscas89/verilog/. [Online].
[33] Francis Wolff, Chris Papachristou, Swarup Bhunia, and Rajat S Chakraborty. Towards TrojanFree Trusted ICs: Problem Analysis and Detection Scheme. in Proceedings of the conference on Design,Automation and Test in Europe, pages 1362–1365. ACM, 2008.
[34] Shivam Bhasin and Francesco Regazzoni. A survey on hardware trojan detection techniques. in International Symposium on Circuits and Systems (ISCAS), pages 2021–2024. IEEE, 2015.
[35] Faiq Khalid, Syed Rafay Hasan, Osman Hasan, and F Awwad. Behavior Profiling of Power Distribution Networks for Runtime Hardware Trojan Detection. in Proceedings of the International Midwest Symposium on Circuits and Systems (MWSCAS-2017), pages 1316–1319. IEEE, 2017.
[36] Xiaotong Cui, Kun Ma, Liang Shi, and Kaijie Wu. High-level synthesis for run-time hardware Trojan detection and recovery. in Proceedings of the 51st Annual Design Automation Conference, pages 1–6. ACM, 2014.
[37] Richard Neil Pittman. Partial Reconfiguration: A Simple Tutorial. Technical report, Technical Report, 2012.
[38] Partial Reconfiguration User Guide. UG702 (v12. 3), Xilinx. Inc., October, 5, 2010.
[39] Xilinx. http://www.xilinx.com. [Online].
[40] Jeyavijayan Rajendran, Vinayaka Jyothi, Ozgur Sinanoglu, and Ramesh Karri. Design and analysis of ring oscillator based Design-for-Trust technique. in Proceedings of the 29th VLSI Test Symposium, pages 105–110. IEEE, 2011.
[41] hMetis Hypergraph and Circuit Partitioning. http://glaros.dtc.umn.edu/gkhome/ metis/hmetis/download. [Online].
[42] George Karypis and Vipin Kumar. A Hypergraph Partitioning Package, 1998.
[43] Sayandeep Saha, Rajat Subhra Chakraborty, Srinivasa Shashank Nuthakki, Debdeep Mukhopadhyay, et al. Improved test pattern generation for hardware trojan detection using genetic algorithm and boolean satisfiability. in Proceedings of the International Workshop on Cryptographic Hardware and Embedded Systems, pages 577–596. Springer, 2015.
[44] Yuanwen Huang, Swarup Bhunia, and Prabhat Mishra. MERS: statistical test generation for side-channel analysis based Trojan detection. in Proceedings of ACM SIGSAC Conference on Computer and Communications Security, pages 130– 141. ACM, 2016.
[45] Hassan Salmani and Mark M Tehranipoor. Vulnerability analysis of a circuit layout to hardware trojan insertion. Transactions on Information Forensics and Security, 11(6): pages 1214–1225, 2016.
[46] Rajat Subhra Chakraborty, Francis Wolff, Somnath Paul, Christos Papachristou, and Swarup Bhunia. MERO: A Statistical Approach for Hardware Trojan Detection. in Proceedings of the Cryptographic Hardware and Embedded Systems (CHES) Conference , pages 396–410. Springer, 2009.
[47] Trust-Hub Website. https://www.trust-hub. org/. [Online].